IEEE Micro September/October 2003 http://www.computer.org/micro/ Features Guest Editors' Introduction: Power- and Complexity-Aware Design Pradip Bose, David H. Albonesi, and Diana Marculescu Customizing the Branch Predictor to Reduce Complexity and Energy Consumption Michael C. Huang, Daniel Chaver, Luis Piáuel, Manuel Prieto, and Francisco Tirado Statistical Simulation: Adding Efficiency to the Computer Designer's Toolbox Lieven Eeckhout, Sebastien Nussbaum, James E. Smith, and Koen De Bosschere Power Consumption Modeling and Characterization of the TI C6201 Nathalie Julien, Johann Laurent, Eric Senn, and Eric Martin Power- and Complexity-Aware Issue Queue Designs Jaume Abella, Ramon Canal, and Antonio Gonz¡lez Energy-Efficient Network Memory for Ubiquitous Devices Joshua B. Fryman, Chad M. Huneycutt, Hsien-Hsin (Sean) Lee, Kenneth M. Mackenzie, and David E. Schimmel Columns and Departments Micro Law Unresolved legal questions about patent and standard setting Micro Review So many books, so little time Micro Economics Jumping on bandwagons News AMD launches Athlon 64; Intel Pentium 4 aimed at gaming market; Sun Microsystems cofounder resigns; PlayStation 3 chip ready for production Parting Thoughts Managing the transition from complexity to elegance: Knowing when you have a problem --------------------------------------------------- If you wish to be removed from this mailing list, send a message to listserv@computer.org with the following text in the body of the message: unsubscribe micro_subscribers ---------------------------------------------------